CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 除法器

搜索资源列表

  1. subr

    0下载:
  2. VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r,b_r,置c_r为0,d_r为a_r,判断除数是否为零,若
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:83109
    • 提供者:aa
  1. 数字系统设计教程4_9

    0下载:
  2. vhdl的几个编程,4位除法器的设计和原理说明,还有8位CPU设计-VHDL programming, the four division and the design principle that there are eight CPU Design
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244725
    • 提供者:刘建
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. VHDLchufaqi

    0下载:
  2. MAXPLUS2 自己编写的VHDL 4位除法器-MAXPLUS2 prepare themselves VHDL four Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:129113
    • 提供者:刘建
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. DivArrUns

    0下载:
  2. 用VHDL实现的除法器,非常好使,仿真通过了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3036
    • 提供者:初德进
  1. divider

    0下载:
  2. 一个用VHDL语言编写的除法器程序,对从事硬件开发的同志有帮助的。
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1621
    • 提供者:毛江飞
  1. 353fpga

    0下载:
  2. 用vhdl实现的除法器
  3. 所属分类:软件工程

    • 发布日期:2014-01-16
    • 文件大小:757
    • 提供者:wenhao sun
  1. dividers.tar

    0下载:
  2. 无符号类型的除法器,有VHDL语言描述了无符号的除法器,包括测试文件
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4925
    • 提供者:asdtgg
  1. div_aegp

    0下载:
  2. 用VHDL语言实现的除法器,可以处理非整除运算。精度0.004
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1222
    • 提供者:sunfat
  1. VHDLsiweichufaqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的四位除法器的程序 如果有需要仿真图的 请叫站长联系我
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2418
    • 提供者:郭明磊
  1. fpdiv_vhdl

    0下载:
  2. 四位除法器的VHDL源程序-four division of VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:954
    • 提供者:张庆辉
  1. vhdl_123

    0下载:
  2. 几个简单的vhdl程序。包括加法器,减法器,乘除法等等。-A few simple vhdl program. Including the adder, subtractor, multiplication and division and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4390710
    • 提供者:fugen
  1. Hardware_Multiplier

    1下载:
  2. 用VHDL写的硬件乘法器,以及测试过了,一个时钟周期内完成乘法运算。被乘数、乘数的宽度通过通用属性GENERIC参数改变而轻松改变,硬件除法器也快好了。-Written by VHDL hardware multiplier, and tested, and a clock cycle multiplication. Multiplicand, multiplier width parameter changes through the common property of GENERIC an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2555
    • 提供者:周磊
  1. diver

    0下载:
  2. 利用VHDL语言设计了五位除法器 实验环境为maxplusII 内有各个模块详细的程序代码 以及相应的模块截图-Designed using VHDL, five divider within the experimental environment maxplusII detailed code of each module and the corresponding module screenshot
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:23338
    • 提供者:vhdl
  1. 0101

    0下载:
  2. Quartus II 除法器,用VHDL语言编写的.除法器。-Divider using VHDL language. Divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:128880
    • 提供者:剑锋
  1. diver

    0下载:
  2. 用VHDL语言产生一个5位数除法器,电子课程设计题目之一-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:564911
    • 提供者:笨蛋
  1. vhdlchufaqi

    0下载:
  2. 这是一个基于VHDL语言的bch除法器,其功能就是实现二进制除法,采用移位的方式进行-This is based on VHDL language bch divider, its function is to achieve binary division, the way by shift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1092
    • 提供者:刘某
  1. VHDL-divider

    0下载:
  2. 8位数除法器,用的软件是quartus,被除数是8位的,除数4位-8-digit division, software quartus dividend is 8, the divisor 4
  3. 所属分类:software engineering

    • 发布日期:2017-11-21
    • 文件大小:186103
    • 提供者:星光
  1. div

    0下载:
  2. vhdl除法器 vhdl除法器 vhdl除法器 -divider vhdl vhdl vhdl divider divider divider vhdl vhdl vhdl divider divider
  3. 所属分类:其他小程序

    • 发布日期:2017-04-12
    • 文件大小:677
    • 提供者:derek
« 1 2 34 »
搜珍网 www.dssz.com